博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
Lattice FPGA 使用指南3 - 如何用第三方仿真工具跑后仿及波形分析
阅读量:4188 次
发布时间:2019-05-26

本文共 725 字,大约阅读时间需要 2 分钟。

假设设计名为mydesign

1)生成网表和sdf文件

在综合时,Map Design选项中选中 Verilog Simulation file,则综合完成后,会生成网表和sdf反标文件:
mydesign_mapvo.sdf (sdf file)
mydesign_mapvo.vo  (verilog netlist)

2)在testbench中读入sdf,如:

   initial begin:SDF_READ

     $sdf_annotate("./mydesign_mapvo.sdf",tb_mydesign.u_MYDESIGN);

    end

    为生成verdi的fsdb波形, testbench中还需加入: 

   initial begin: Dump_wave

     $fsdbDumpfile("waveforms/mydesign.fsdb");

    $fsdbDumpvars(2,tb_mydesign);

    end

3) 读入testbench,mydesign_mapvo.vo文件跑后仿:
   irun -2001 +access+wrc tb_mydesign.v  mydesing_mapvo.vo -l ncsim.log

4) 打开verdi,读如 tb_mydesign.v 和mydesign_mapvo.vo

    verdi -2001 tb_mydesign.v  mydesing_mapvo.vo

    综合成netlist后,rtl中的模块层次结构就丢失了,可以通过以下方式找到对应的信号:

    Lattice diamond生成的netlist,信号名中隐含了层次结构,如

   \u_D1/u_D1_int1/u_D3_inst2/my_signal

转载地址:http://uusoi.baihongyu.com/

你可能感兴趣的文章
EasyJF开源Blog系统测试版发布
查看>>
简易Java框架开源论坛系统0.5.0版本发布
查看>>
easyjweb-0.7.0版本发布
查看>>
在一个开源项目的开发中遇到的问题
查看>>
EasyDBO-0.6.0中事务处理中的严重Bug
查看>>
EasyJF团队筹备小组第一次会议情况通告
查看>>
“中文问题没商量”之Spring2.0项目中的Bug一例
查看>>
“中文问题没商量”之Dom4j中的编码问题
查看>>
“中文问题没商量”之Ant中的中文问题
查看>>
超轻量级ORM系统EasyDBO-0.6.0版本发布
查看>>
Spring+EasyJWeb+iBatis版j2ee在线购物jpetstore系统源码发布
查看>>
Spring MVC的流程图,欢迎指正
查看>>
国内开源环境的感悟-Spring轮子血案总结
查看>>
“Spring轮子血案”续集1-“回应”与“反回应”
查看>>
技术“专家”与“君子剑”
查看>>
Spring轮子血案的插曲
查看>>
一个“Spring轮子”引发的“血案”(5)
查看>>
一个“Spring轮子”引发的“血案”(4)
查看>>
一个“Sprng轮子”引发的“血案”(3)
查看>>
一个“Spring轮子”引发的“血案”(2)
查看>>